Che cos'è il convertitore di codice: conversione da codice binario a grigio e da codice grigio a binario

Prova Il Nostro Strumento Per Eliminare I Problemi





Nei computer, dobbiamo convertire il binario in grigio e il grigio in binario. La conversione di questo può essere eseguita utilizzando due regole, ovvero la conversione da binario a grigio e la conversione da grigio a binario. Nella prima conversione, l'MSB del codice gray è costantemente equivalente all'MSB del codice binario. Bit aggiuntivi dell'output del codice gray possono essere ottenuti utilizzando il concetto di porta logica EX-OR per i codici binari a quell'indice attuale così come l'indice precedente. Qui MSB non è altro che la parte più significativa. Nella prima conversione, l'MSB del codice binario è costantemente equivalente all'MSB del particolare codice binario. Bit aggiuntivi dell'output del codice binario possono essere ottenuti utilizzando EX-OR porta logica concetto verificando i codici grigi a quell'indice attuale. Se il bit di codice grigio attuale è zero, dopo quella copia il codice binario precedente, copia anche il bit di codice binario precedente. In questo articolo viene illustrata una panoramica dei convertitori di codice che include un convertitore di codice da binario a grigio e un convertitore di codice da grigio a binario.

Cos'è un codice binario?

Nei computer digitali, il codice utilizzato in base a un sistema numerico binario è noto come codice binario. Ci sono due possibili stati come ON e OFF che sono rappresentati da 0 e 1. Il sistema digitale utilizza 10 cifre dove ogni posizione di cifra indica la potenza di 10. In un sistema binario, ogni posizione di una cifra rappresenta una potenza di 2.




Un segnale di codice binario include una sequenza di impulsi elettrici che indicano caratteri, numeri e operazioni da eseguire. Un dispositivo orologio viene utilizzato per trasmettere impulsi normali, così come componenti come transistor, si accendono / spengono per il flusso altrimenti bloccano i segnali. Nel codice binario, ogni numero decimale compreso tra 0 e 9 può essere rappresentato da un insieme di 4 bit / cifre binari. Le 4 operazioni aritmetiche di base come addizione, sottrazione, moltiplicazione e divisione possono essere tutte ridotte a combinazioni di funzioni algebriche booleane di base su numeri binari.

Cos'è il codice grigio?

Il codice Gray o RBC (codice binario riflesso) o codice ciclico è una serie di sistemi di numeri binari. Il motivo principale per chiamare questo codice binario riflesso è che i valori iniziali N / 2 sono in ordine inverso rispetto agli ultimi valori N / 2. In questo tipo di codice, i due valori successivi vengono modificati tramite un singolo bit di cifre binarie. Questi codici vengono utilizzati principalmente nelle serie comuni di numeri binari generati dall'hardware.



I numeri binari possono causare errori una volta eseguita la transizione da un numero singolo a consecutivo. Questo tipo di codice risolve fondamentalmente questo problema modificando semplicemente un bit una volta che il cambio tra i numeri è stato effettuato.

Questo tipo di codice è estremamente leggero e non dipende dal valore della cifra indicato in tutta la posizione. Questo tipo di codice è anche chiamato codice variabile ciclico perché la modifica di un singolo valore nel suo valore consecutivo contiene una modifica di un solo bit.


Questo è il più popolare per i codici di distanza unitaria, tuttavia non è appropriato per le funzioni aritmetiche. Le applicazioni del codice gray includono convertitori da analogico a digitale e comunicazione digitale per la correzione degli errori. In primo luogo, il codice grigio non è facile da capire, tuttavia si trasforma in molto più facile da riconoscere.

Convertitore da codice binario a codice Gray

Il codice binario è una rappresentazione molto semplice dei dati che utilizza due valori come 0 e 1, ed è utilizzato principalmente nel mondo del computer. Il codice binario potrebbe essere un valore alto (1) o basso (0) oppure anche una modifica del valore. Il codice gray o il codice binario riflesso stima la natura del codice binario che è organizzato con indicatori di accensione e spegnimento, solitamente indicati con uno e zero. Questi codici vengono utilizzati per esaminare la chiarezza e la modifica degli errori in binario comunicazioni .

La conversione del codice binario in codice gray può essere eseguita utilizzando un file circuito logico . Il codice grigio è un codice non ponderato perché non è assegnato un peso particolare per la posizione del bit. Un codice n-bit può essere ottenuto riproducendo un codice n-1 bit su un asse successivo alle righe di 2n-1, oltre a posizionare il bit più significativo di 0 sull'asse con il bit più significativo di 1 sotto l'asse. Di seguito è mostrata la generazione del codice grigio passo dopo passo.

Circuito logico di conversione da codice binario a codice Gray

Circuito logico di conversione da codice binario a codice Gray

Questo metodo utilizza un gate Ex-OR per eseguire tra i bit binari. Il miglior esempio seguente sarà molto utile per conoscere la conversione del binario in grigio. In questo metodo di conversione, annotare il bit MSB del numero binario attuale, poiché il bit primario o il bit MSB del numero di codice grigio è simile al numero binario.

Per ottenere i bit codificati in grigio per generare la cifra codificata in grigio corrispondente per le cifre binarie date, aggiungere la cifra primaria o la cifra MSB del numero binario verso la seconda cifra e annotare il prodotto accanto al bit principale del codice grigio, e aggiungere il bit binario successivo al terzo bit quindi annotare il prodotto accanto al 2ndbit di codice grigio. Allo stesso modo, segui questa procedura fino al bit binario finale e annota i risultati a seconda di Funzionamento logico EX-OR per generare la cifra binaria codificata in grigio corrispondente.

Esempio di convertitore di codice binario in codice Gray

Supponiamo che le cifre del codice binario siano bo, b1, b2, b3 mentre il particolare codice Gray può essere ottenuto in base al concetto seguente.

Esempio di conversione del codice

Esempio di conversione del codice

Dall'operazione sopra, finalmente possiamo ottenere i valori di grigio come g3 = b3, g2 = b3 XOR b2, g1 = b2 XOR b1, g0 = b1 XOR b0.

Esempio di conversione

Esempio di conversione

Ad esempio, prendi il valore binario b3, b2, b1, b0 = 1101 e trova il codice grigio g3, g2, g1, g0 basato sul concetto sopra

g3 = b3 = 1

g2 = b3 XOR b2 = 1 XOR 1 = 0

g1 = b2 XOR b1 = 1 XOR 0 = 1

g0 = b1 XOR b0 = 0 XOR 1 = 1

Il codice grigio finale per il valore binario 1101 è 1011

Tabella del convertitore da binario a codice Gray

Numero decimale

Codice binario

Codice grigio

0

00000000
10001

0001

Due

0010

0011

3

00110010

4

0100

0110

50101

0111

6

01100101
70111

0100

8

10001100

9

1001

1101

101010

1111

undici

1011

1110

12

11001010

13

1101

1011

141110

1001

quindici1111

1000

Codice VHDL per la conversione del codice binario in codice Gray è dato di seguito.

LIBRERIA ieee
USA ieee.std_logic_1164.ALL
entity bin2gray è
port (bin: in std_logic_vector (da 3 a 0) - input binario
G: out std_logic_vector (3 downto 0) –output del codice grigio
)
end bin2gray
l'architettura gate_level di bin2gray è
inizio
–Xor gates.
G (3)<= bin(3)
G (2)<= bin(3) xor bin(2)
G (1)<= bin(2) xor bin(1)
G (0)<= bin(1) xor bin(0)
fine

Vantaggi

Il vantaggi del codice binario include il seguente.

  • Il vantaggio principale dell'utilizzo del codice binario è che viene semplicemente indicato tramite dispositivi elettronici
  • Anche i dati binari sono molto semplici da memorizzare.
  • Molto facile da indicare e controllare elettronicamente e meccanicamente.
  • La disparità tra le rappresentazioni dei simboli può essere aumentata in modo da ridurre la possibilità di errore.

Il svantaggi del codice binario include il seguente.

  • Il numero richiesto di simboli può essere aumentato per indicare un dato numero di sistemi di valori di posizione complessivi.
  • Gli esseri umani non possono leggerli in modo estremamente efficace a causa della loro lunghezza e dell'utilizzo predefinito di numeri in base dieci
  • Utilizza molte cifre per indicare qualsiasi numero logico

Applicazioni

Le applicazioni del codice binario includono quanto segue.

  • I codici binari vengono utilizzati nelle telecomunicazioni e nell'elaborazione per diverse tecniche di codifica dei dati come stringhe di caratteri in stringhe di bit. La larghezza utilizzata da questi metodi è fissa altrimenti stringhe di larghezza variabile.
  • Viene utilizzato nei linguaggi dei computer e nella programmazione perché i linguaggi dei computer dipendono principalmente da sistemi numerici a 2 cifre.

Convertitore di codice da grigio a binario

Questo metodo di conversione da grigio a binario utilizza anche il concetto di funzionamento della porta logica EX-OR tra i bit di grigio così come i bit binari. Il seguente esempio con procedura passo passo può aiutare a conoscere il concetto di conversione del codice grigio in codice binario.

Per cambiare il codice da grigio a binario, annotare la cifra MSB del numero di codice grigio, poiché la cifra primaria o MSB del codice grigio è simile alla cifra binaria.

Per ottenere il successivo bit binario diretto, utilizza l'operazione XOR tra il bit primario o il bit MSB del binario al bit successivo del codice gray.

Circuito logico di conversione da codice grigio a codice binario

Circuito logico di conversione da codice grigio a codice binario

Allo stesso modo, per ottenere il terzo bit binario diretto, utilizza l'operazione XOR tra il secondo bit o il bit MSB del binario al terzo bit MSD del codice gray e così via.

Esempio di convertitore da grigio a codice binario

Supponiamo che il file Codice grigio cifre g3, g2, g1, g0 mentre le cifre del codice binario particolare sono bo, b1, b2, b3 possono essere ottenute in base al seguente concetto.

Esempio di conversione

Esempio di conversione

Dall'operazione sopra, finalmente possiamo ottenere i valori binari come b3 = g3, b2 = b3 XOR g2, b1 = b2 XOR g1, b0 = b1 XOR g0.

Esempio di conversione del codice

Esempio di conversione del codice

Ad esempio, prendi il valore grigio g3, g2, g1, g0 = 0011 e trova il codice binario b3, b2, b1, b0 basato sul concetto sopra

b3 = g3 = 0

b2 = b3 XOR g2 = 0 XOR 0 = 0

b1 = b2 XOR g1 = 0 XOR 1 = 1

b0 = b1 XOR g0 = 1 XOR 1 = 0

Il codice binario finale per il valore grigio 0011 è 0010

Tabella convertitore da grigio a codice binario

Numero decimale Codice grigio

Codice binario

0

00000000

1

0001

0001

Due0010

0010

3

00110011

4

0110

0100

50111

0101

6

01010110
70100

0111

8

11001000
91101

1001

10

11111010
undici1110

1011

12

10101100
131011

1101

14

10011110
quindici1000

1111

Vantaggi

Il vantaggi del codice grigio include il seguente.

  • Il circuito logico può essere ridotto
  • Utilizzato per attraversare il dominio dell'orologio
  • Utilizzato per ridurre al minimo l'errore durante la modifica dei segnali da analogico a digitale
  • Una volta utilizzato all'interno di algoritmi genetici, è possibile ridurre la presenza di muri martellanti.

Svantaggi

Gli svantaggi del codice grigio includono quanto segue.

  • Non appropriato per le funzioni aritmetiche
  • Applicabile per poche applicazioni precise

Applicazioni

Le applicazioni del codice gray includono quanto segue.

  • Viene utilizzato nei convertitori da analogico a digitale
  • Nella comunicazione digitale per la correzione di un errore
  • Riduce gli errori durante la modifica dei segnali da analogico a digitale.
  • Puzzle matematici
  • Minimizzazione di un circuito booleano
  • Viene utilizzato per la comunicazione tra due domini di clock
  • Algoritmi genetici
  • Encoder di posizione

Codice VHDL per conversione da codice Gray a binario è dato di seguito.

LIBRERIA ieee
USA ieee.std_logic_1164.ALL
entity gray2bin è
port (G: in std_logic_vector (da 3 a 0) - input del codice grigio
bin: out std_logic_vector (da 3 a 0) –output binario
)
end gray2bin
l'architettura gate_level di gray2bin è
inizio
–Xor gates.
mattina (3)<= G(3)
sono (2)<= G(3) xor G(2)
sono (1)<= G(3) xor G(2) xor G(1)
am (0)<= G(3) xor G(2) xor G(1) xor G(0)
fine

Convertitore da binario a codice Gray a 3 bit

Assumi le cifre binarie in un numero binario a 3 bit come b0, b1, b2, dove il bit 'b2' è l'MSB (bit più significativo) e il bit 'b0' è l'LSB (bit meno significativo) di Binary. Le cifre del codice Gray sono g0, g1, g2, dove la cifra 'g2' è il MSB (bit più significativo) mentre la cifra 'g0' è l'LSB (bit meno significativo) del codice Gray.

Codice binario - b2, b1, b0

Codice grigio - g2, g1, g0

000

000
001

001

010

011
011

010

100

110
101

111

110

101

111

100

Pertanto, l'espressione booleana può essere risolta per il convertitore di codice da binario a gray usando k-map, possiamo ottenere g2 = b2, g1 = b1⊕ b2 & g0 = b0 ⊕ b1. Allo stesso modo, possiamo cambiare il numero binario a n bit (bnb (n-1)… b2 b1 b0) in codice Gray (gng (n-1)… g2 g1 g0).

Per LSB (bit meno significativo)

g0 = b0⊕b1

g1 = b1⊕b2

g2 = b1⊕b2

g (n-1) = b (n-1) ⊕ bn, gn = bn.

Ad esempio, converti i numeri binari 111010 in codice Gray.

Quindi, in base all'algoritmo di cui sopra,

g0 = b0 ⊕ b1 => 0 ⊕ 1 = 1

g1 = b1 ⊕ b2 = 1 ⊕ 0 = 1

g2 = b2 ⊕ b3 = 0 ⊕1 = 1

g3 = b3 ⊕ b4 = 1⊕1 = 0

g4 = b4 ⊕ b5 = 1 ⊕ 1 = 0

g5 = b5 = 1 = 1

Quindi, la conversione del codice binario in codice gray sarà - 100111.

Convertitore da codice binario a codice Gray utilizzando IC 7486

La conversione da binario a grigio e da grigio a binario può essere eseguita utilizzando IC7486. I componenti necessari per realizzarlo sono una breadboard, cavi di collegamento, LED, resistenze, XOR (IC7486), interruttori a pulsante e una batteria per l'alimentazione.

Il pacchetto di IC7486 include principalmente quattro porte logiche XOR, dove i pin 7 e 14 forniranno l'alimentazione per tutte le porte logiche. L'o / ps di una singola porta XOR è collegata all'ingresso dell'altra porta logica all'interno dello stesso o di un altro chip finché non condividono un terminale di terra simile.

Quindi, si tratta di un convertitore di codice da binario a grigio e di un convertitore di codice da grigio a binario. Dalle informazioni di cui sopra, infine, possiamo concludere che questi convertitori svolgono un ruolo essenziale nell'esecuzione di diverse operazioni di elettronica digitale così come le comunicazioni tra vari sistemi numerici. Gli esempi di convertitori di codice che abbiamo discusso in precedenza possono essere utili per comprendere il concetto di come eseguire questi calcoli. Ecco una domanda per te, quali sono le applicazioni dei codici grigi?